[ndnSIM] ndnSIM Digest, Vol 51, Issue 5

Rajvardhan Deshmukh rdeshmukh at umass.edu
Mon Feb 13 15:28:29 PST 2017


Topic: AppDelay tracer
hi Alex,
ndnsim Appdelaytracer stops writing into the specified file after 5 
seconds.
I am simulating a VANETS scenario using multicast forwarding strategy.

i've called/defined the following virtual function as per the sources:

Simulator::Stop (Seconds (50.0));
AppDelayTracer::InstallAll("3trapptrace.txt")
Simulator::Run ();
Simulator::Destroy ();

Let me know if further details are required to debug this problem.

Regards,
Raj


More information about the ndnSIM mailing list